Experiences

Professor
  • Mar. 2024 - present: Associate Professor, CASys Lab, SoC, KAIST
  • Dec. 2019 - Feb. 2024: Assistant Professor, CASys Lab, SoC, KAIST
Product Engineer
  • Aug. 2018 - Nov. 2019: Deep Learning Acceleration Solution Architect, Bigstream Solution, Inc.
Research Assistant
  • Jan. 2018 - Aug. 2018: Visiting Researcher, ACT Lab, CSE, UCSD
  • Aug. 2013 - Aug. 2018: Graduate Research Assistant, ACT Lab, CoC, GaTech
  • Mar. 2010 - Jul. 2013: Graduate Research Assistant, Computer Architecture Lab, SoC, KAIST
Research Intern
  • May 2017 - Aug. 2017: Summer Intern, Architecture Research Group, NVIDIA Research
  • Jan. 2016 - May 2016: Spring Intern, Catapult team, Microsoft Research

People

Ph.D. Students
M.S. Students
Undergraduate Students
  • Jaehong Cho
  • Hyunmin Choi
  • Yunghee Lee
  • Yeongmin Hwang
  • Namwoo Kim
  • Minjun Kang
  • Jiyong Jung
  • Woochang Jeong
  • Woong Gyu Park
  • Aziz Huseynov
  • Raymond Horley 
Alumni
  • Dohee Kim

Publications

DaCapo: Accelerating Continuous Learning in Autonomous Systems for Video Analytics
Yoonsung Kim, Changhun Oh, Jinwoo Hwang, Wonung Kim, Seongryong Oh, Yubin Lee, Hardik Sharma, Amir Yazdanbakhsh, Jongse Park
ISCA, 2024 [Paper] (To Appear)

NeuPIMs: NPU-PIM Heterogeneous Acceleration for Batched LLM Inferencing
Guseul Heo, Sangyeop Lee, Jaehong Cho, Hyunmin Choi, Sanghyeon Lee, Hyungkyu Ham, Gwangsun Kim, Divya Mahajan, Jongse Park
ASPLOS, 2024 [Paper] (To Appear)

Tandem Processor: Grappling with Emerging Operators in Neural Networks
Soroush Ghodrati, Sean Kinzer, Hanyang Xu, Rohan Mahapatra, Yoonsung Kim, Byung Hoon Ahn, Dong Kai Wang, Lavanya Karthikeyan, Amir Yazdanbakhsh, Jongse Park, Nam Sung Kim, Hadi Esmaeilzadeh
ASPLOS, 2024 [Paper] (To Appear)

Accelerating String-key Learned Index Structures via Memoization-based Incremental Training
Minsu Kim, Jinwoo Hwang, Guseul Heo, Seiyeon Cho, Divya Mahajan, Jongse Park
VLDB, 2024 [Paper] (To Appear)

Cerberus: Triple Mode Acceleration of Sparse Matrix and Vector Multiplication
Soojin Hwang, Daehyeon Baek, Jongse Park, Jaehyuk Huh
IEEE Transactions on Architecture and Code Optimization (TACO), 2024 [Paper]

Hardware Hardened Sandbox Enclaves for Trusted Serverless Computing
Joongun Park, Seunghyo Kang, Sanghyeon Lee, Taehoon Kim, Jongse Park, Youngjin Kwon, and Jaehyuk Huh
IEEE Transactions on Architecture and Code Optimization (TACO), 2023 [Paper]

FlexBlock: A Flexible DNN Training Accelerator with Multi-Mode Block Floating Point Support
Seock-Hwan Noh, Jahyun Koo, Seunghyun Lee, Jongse Park, and Jaeha Kung
IEEE Transactions on Computers (TC), 2023 [Paper]

HAMMER: Hardware-friendly Approximate Computing for Self-attention with Mean-redistribution and Linearization
Seonho Lee, Ranggi Hwang, Jongse Park, and Minsoo Rhu
IEEE Computer Architecture Letters (CAL), 2023 [Paper]

Tunable Memory Protection for Secure Neural Processing Units
Sunho Lee, Seonjin Na, Jungwoo Kim, Jongse Park, and Jaehyuk Huh
ICCD, 2022 [Paper]

Supporting Dynamic Translation Granularity for Hybrid Memory Systems
Bokyeong Kim, Soojin Hwang, Sanghoon Cha, Chang Hyun Park, Jongse Park, and Jaehyuk Huh
ICCD, 2022 [Paper]

Yin-Yang: Programming Abstraction for Cross-Domain Multi-Acceleration
Joon Kyung Kim, Byung Hoon Ahn, Sean Kinzer, Soroush Ghodrati, Rohan Mahapatra, Brahmendra Yatham, Dohee Kim, Parisa Sarikhani, Babak Mahmoudi, Divya Mahajan, Jongse Park, Hadi Esmaeilzadeh
IEEE Micro, special issue on Compiling for Accelerators, 2022 [Paper]

CoVA: Exploiting Compressed-Domain Analysis to Accelerate Video Analytics
Jinwoo Hwang, Minsu Kim, Daeun Kim, Seungho Nam, Yoonsung Kim, Dohee Kim, Hardik Sharma, Jongse Park
USENIX ATC, 2022 [Paper|Talk]

Serving Heterogeneous Machine Learning Models on Multi-GPU Servers with Spatio-Temporal Sharing
Seungbeom Choi, Sunho Lee, Yeonjae Kim, Jongse Park, Youngjin Kwon, and Jaehyuk Huh
USENIX ATC, 2022 [Paper|Talk]

TNPU: Supporting Trusted Execution with Tree-less Integrity Protection for Neural Processing Unit
Sunho Lee, Jungwoo Kim, Seonjin Na, Jongse Park, and Jaehyuk Huh
HPCA, 2022 [Paper|Talk]

SLO-aware Inference Scheduler for Heterogeneous Processors in Edge Platforms
Wonik Seo, Sanghoon Cha, Yeonjae Kim, Jaehyuk Huh, and Jongse Park
TACO, 2021 [Paper]

Common Counters: Compressed Encryption Counters for Secure GPU Memory
Seonjin Na, Sunho Lee, Yeonjae Kim, Jongse Park, and Jaehyuk Huh
HPCA, 2021 [Paper|Talk]

Mixed-Signal Charge-Domain Acceleration of Deep Neural Networks through Interleaved Bit-Partitioned Arithmetic
Soroush Ghodrati, Hardik Sharma, Sean Kinzer, Amir Yazdanbakhsh, Jongse Park, Nam Sung Kim, Doug Burger, and Hadi Esmaeilzadeh
PACT, 2020 [Paper|Talk]

A Network-Centric Hardware/Algorithm Co-Design to Accelerate Distributed Training of Deep Neural Networks
Youjie Li, Jongse Park, Mohammad Alian, Yifan Yuan, Zheng Qu, Peitian Pan, Ren Wang, Alexander Gerhard Schwing, Hadi Esmaeilzadeh, and Nam Sung Kim
MICRO, 2018 [Paper|Talk]

From Tensors to FPGAs: Accelerating Deep Learning
Hardik Sharma, Jongse Park, Balavinayagam Samynathan, Behnam Robatmili, Shahrzad Mirkhani, and Hadi Esmaeilzadeh
HotChips, 2018 [Paper|Poster|Demo1|Demo2]

Bit Fusion: Bit-Level Dynamically Composable Architecture for Accelerating Deep Neural Networks
Hardik Sharma, Jongse Park, Naveen Suda, Liangzhen Lai, Benson Chau, Vikas Chandra, Hadi Esmaeilzadeh
ISCA, 2018 [Paper|Talk]

Scale-Out Acceleration for Machine Learning
Jongse Park, Hardik Sharma, Divya Mahajan, Joon Kyung Kim, Preston Olds, and Hadi Esmaeilzadeh
MICRO, 2017 [Paper|Talk|Lightening]

AxGames: Towards Crowdsourcing Quality Target Determination in Approximate Computing
Jongse Park, Divya Mahajan, Bradley Thwaites, Emmanuel Amaro, and Hadi Esmaeilzadeh
ASPLOS, 2016 [Paper|Talk|Lightening]

From High-Level Deep Neural Models to FPGAs
Hardik Sharma, Jongse Park, Divya Mahajan, Emmanuel Amaro, Joon Kyung Kim, Chenkai Shao, Asit Mishra, and Hadi Esmaeilzadeh
MICRO, 2016 [Paper|Talk]

Towards Statistical Guarantees in Controlling Quality Tradeoffs in Approximate Acceleration
Divya Mahajan, Amir Yazdanbaksh, Jongse Park, Bradley Thwaites, and Hadi Esmaeilzadeh
ISCA, 2016 [Paper|Talk]

DnnWeaver: From High-Level Deep Network Models to FPGA Acceleration
Hardik Sharma, Jongse Park, Emmanuel Amaro, Bradley Thwaites, Praneetha Kotha, Anmol Gupta, Joon Kyung Kim, Asit Mishra, and Hadi Esmaeilzadeh
CogArch, 2016 [Paper]

Tabla: A Unified Template-based Framework for Accelerating Statistical Machine Learning
Divya Mahajan, Jongse Park, Emmanuel Amaro, Hardik Sharma, Amir Yazdanbaksh, Joon Kyung Kim, and Hadi Esmaeilzadeh
HPCA, 2016 [Paper|Talk] Distinguished Paper Award

FlexJava: Language Support for Safe and Modular Approximate Programming
Jongse Park, Hadi Esmaeilzadeh, Xin Zhang, Mayur Naik, William Harris
FSE, 2015 [Paper|Talk|Artifact]

Neural Acceleration for GPU Throughput Processors
Amir Yazdanbakhsh, Jongse Park, Hardik Sharma, Pejman Lofti-Kamran, and Hadi Esmaeilzadeh
MICRO, 2015 [Paper|Talk]

Axilog: Abstractions for Approximate Hardware Design and Reuse
Divya Mahajan, Kartik Ramkrishnan, Rudra Jariwala, Amir Yazdanbakhsh, Jongse Park, Bradley Thwaites, Anandhavel Nagendrakumar, Abbas Rahimi, Hadi Esmaeilzadeh, and Kia Bazargan
IEEE Micro, , special issue on Alternative Computing Designs and Technologies, 2015 [Paper]

Axilog: Language Support for Approximate Hardware Design
Amir Yazdanbakhsh, Divya Mahajan, Bradley Thwaites, Jongse Park, Anandhavel Nagendrakumar, Sindhuja Sethuraman, Kartik Ramkrishnan, Nishanthi Ravindran, Rudra Jariwala, Abbas Rahimi, Hadi Esmaeilzadeh, and Kia Bazargan
DATE, 2015 [Paper|Talk]

General-Purpose Code Acceleration with Limited-Precision Analog Computation
Renée St. Amant, Amir Yazdanbakhsh, Jongse Park, Bradley Thwaites, Hadi Esmaeilzadeh, Arjang Hassibi, Luis Ceze, and Doug Burger
ISCA, 2014 [Paper|Talk]
Honorable Mention in IEEE Micro Top Picks

Rollbak-Free Value Prediction with Approximate Loads (Short paper)
Bradley Thwaites, Gennady Pekhimenko, Amir Yazdanbakhsh, Jongse Park, Girish Mururu, Hadi Esmaeilzadeh, Onur Mutlu, and Todd Mowry
PACT, 2014 [Paper]

Isolated Mini-domain for Trusted Cloud Computing
Jaewon Choi, Jongse Park, Jinho Seol, and Seungryoul Maeng
CCGrid, 2013 [Paper]

Locality-aware Dynamic VM Reconfiguration on MapReduce Clouds
Jongse Park, Daewoo Lee, Bokyeong Kim, Jaehyuk Huh, and Seungryoul Maeng
HPDC, 2012 [Paper|Talk]

Teaching

Courses
  • CS610: Parallel Processing (Spring 2024)
  • CS311: Computer Organization (Spring 2024)
  • CS411: System for AI (Fall 2023)
  • CS510: Computer Architecture (Spring 2023)
  • CS230: System Programming (Fall 2022)
  • CS311: Computer Organization (Spring 2022)
  • CS230: System Programming (Fall 2021)
  • CS492: Systems for AI (Spring 2021)
  • CS230: System Programming (Fall 2020)
  • CS492: Systems for Machine Learning (Spring 2020)

Honors and Awards

  • Distinguished paper award, HPCA, 2016
  • Honorable Mention in IEEE Micro Top Picks, 2015
  • Kwanjeong Graduate Fellowship, Kwanjeong Educational Foundation, 2013-2017
  • National Full Scholarship, KAIST, 2010-2012
  • DMC General Management Track Scholarship, Samsung Electronics Co., Ltd, 2009
  • Academic Scholarship, Sogang University, 7 semesters

Professional Services

Program Committee
  • ASPLOS 2025
  • ISCA 2021/2023/2024
  • HPCA 2023
  • DAC 2021/2022/2023
  • MICRO 2023 SRC
  • IISWC 2022
  • ACSMD 2021/2022
  • HPC Asia 2021
Organizing Committee
  • Registration chair of MICRO 2023
  • Publicity chair of HPCA 2021/2022
  • Publication chair of PACT 2020
  • Web chair of HPCA 2020
Guest Editor
  • IEEE Micro Special Issue on Machine Learning Acceleration, 2019
External Review Committee
  • ICML 2023
  • NeurIPS 2022
  • ASPLOS 2021
  • ISCA, 2018
  • CAL 2016/2019/2020/2021/2022/2023
  • TC 2019/2020/2021/2022
  • TOCS 2019/2020/2021

Contact

  • jspark at casys kaist ac kr
  • 291 Daehak-ro, Yuseong-gu, E3-1 #4403, Daejeon, 34141, South Korea